[1] BARDEEN J, BRATTAIN W H. The transistor, a semi-conductor triode[J]. Proceedings of the IEEE, 1998, 86(1): 29-30. doi: 10.1109/JPROC.1998.658753
[2] TIETZ T. Jack kilby-inventor of the integrated circuit[EB/OL]. (2012-06-20)[2021-06-15]. http://scihi.org/jack-kilby-inventor-integrated-circuit.
[3] DRAPER D. TSMC's 5 nm (FinFET) process technology[EB/OL]. (2020-02-05)[2021-06-15]. https://www.tsmc.com/english/dedicatedFoundry/technology/logic/l_5nm.
[4] HUTCHESON G D. Moore's law, lithography, and how optics drive the semiconductor industry[J]. Proceedings of the SPIE, 2018, 10583: 1058303.
[5] 姚长呈, 巩岩. 深紫外光刻投影物镜温度特性研究[J]. 中国激光, 2016, 43(5): 0516001.YAO Ch Ch, GONG Y. Research on temperature distribution of deep ultraviolet lithographic projection objective[J]. Chinese Journal of Lasers, 2016, 43(5): 0516001(in Chinese).
[6] 何立文, 罗乐, 孟钢, 等. 新型光刻技术研究进展[J]. 激光技术, 2019, 43(1): 30-37.HE L W, LUO L, MENG G, et al. Recent progress of novel photolithography technologies[J]. Laser Technology, 2019, 43(1): 30-37(in Chinese).
[7] SPIE. SPIE advanced lithography conference proceedings browse proceedings (1997-2019)[EB/OL]. [2021-12-10]. https://www.spiedigitallibrary.org/conference-proceedings-of-spie/browse/SPIE-Advanced-Lithography.
[8] ASML. The TWINSCAN NXE: 3600D is ASML's latest-generation lithography system, supporting EUV volume production at the 5 and 3 nm Logic nodes and leading-edge DRAM nodes[EB/OL]. [2022-03-19]. https://www.asml.com/en/products/euv-lithography-systems/twinscan-nxe-3600d.
[9] KINOSHITA H, KANEKO T, TAKEI H, et al. Study on X-ray reduction projection lithography[C]//47th Autumn Meeting Japan Society of Applied Physics. New York, USA: IEEE, 1986: 28-ZF15.
[10] BASOV N G, VERGUNOVA G A, VOLOSEVICH P P, et al. Conversion of laser radiation into thermal self-radiation of a plasma[J]. Soviet Journal of Quantum Electronics, 1987, 17(9): 1203. doi: 10.1070/QE1987v017n09ABEH009901
[11] SILFVAST W T, WOOD Ⅱ O R. Tenth micron lithography with a 10 Hz 37.2 nm sodium laser[J]. Microelectronic Engineering, 1988, 8(1/2): 3-11.
[12] YEN A. EUV Lithography: From the very beginning to the eve of manufacturing[J]. Proceedings of the SPIE, 2016, 9776: 977632. doi: 10.1117/12.2236044
[13] 木下博雄. X線工学とその応用超精密軟X線光学系の開発と応用EUV リソグラフィ[J]. レーザー研究, 1999, 27(1): 20-24(in Japanese).
[14] BAKSHI V. EUV lithography[M]. Washington DC, USA: SPIE Press, 2009: 63-65.
[15] NAULLEAU P P, GOLDBERG K A, ANDERSON E H, et al. Static EUV micro-exposures using the ETS Set-2 optics[C]//Emerging Lithographic Technologies Ⅶ. Santa Clara, California, USA: International Society for Optics and Photonics, 2003: 36-46.
[16] BENSCHOP J P H, KAISER W M, OCKWELL D C. Euclides: European EUVL program[J]. Journal of Vacuum Science & Technology, 1999, B17(6): 2978-2981.
[17] MEILING H, MEIJER H, BANINE V, et al. First performance results of the ASML alpha demo tool[J]. Proceedings of the SPIE, 2006, 6151: 615108. doi: 10.1117/12.657348
[18] WAGNER C, BACELAR J, HARNED N, et al. EUV lithography at chipmakers has started: performance validation of ASML's NXE: 3100[J]. Proceedings of the SPIE, 2011, 7969: 79691F.
[19] 中国集成电路编辑部. ASML获得台积电投资11亿欧元[J]. 中国集成电路, 2012(9): 11.EDITORIAL BOARD OF CHINA INTEGRATED CIRCUIT. ASML received 1.1 billion euros from TSMC[J]. China Integrated Circuit, 2012(9): 11(in Chinese).
[20] RUDY P, SJOERD L, JOERG M, et al. EUV lithography: NXE platform performance overview[J]. Proceedings of the SPIE, 2014, 9048: 90481J.
[21] PIRATI A, PEETERS R, SMITH D, et al. EUV lithography performance for manufacturing: Status and outlook[J]. Proceedings of the SPIE, 2016, 9776: 97760A.
[22] SCHOOT J V, SETTEN E V, TROOST K, et al. High-NA EUV lithography exposure tool: Program progress[J]. Proceedings of the SPIE, 2020, 11323: 1132307.
[23] SUNY POLYTECHNIC INSTITUTE. Sematech[EB/OL]. [2021-12-12]. http://www.sematech.org.
[24] 胡冬云. 产业技术创新联盟中的政府行为研究——以美国SEMATECH为例[J]. 科技管理研究, 2010, 30(18): 21-24.HU D Y. Study on the government behavior in industrial technology innovation consortia: A case study of SEMATECH[J]. Science and Technology Management Research, 2010, 30(18): 21-24(in Chinese).
[25] BENSCHOP J P H, KAISER W M, OCKWELL D C. EUCLIDES: The European EUVL program[J]. Emerging Lithographic Technologies Ⅲ, 1999, 3676: 246-252.
[26] MEDEA CO. MEDEA+[EB/OL]. [2021-12-22]. http://www.medeaplus.org.
[27] EMERALD GROUP PUBLISHING LIMITED. "More Moore" shows European EUV innovation at EUV 2006 in Barcelona[EB/OL]. [2021-12-22]. https://www.emerald.com/insight/content/doi/10.1108/mi.2007.21824aab.006/full/html.
[28] CATRENE. Cluster for application and technology research in europe on nanoelectronics[EB/OL]. [2021-12-22]. http://www.catrene.org.
[29] OKAZAKI S. EUV lithography research program at ASET[C]//Emerging Lithographic Technologies Ⅲ. New York, USA: International Society for Optics and Photonics, 1999: 238-245.
[30] GIGAPHOTON. Status of world research in EUV lithography[EB/OL]. [2021-12-22]. https://www.gigaphoton.com/en/technology/euv-topics/status-of-world-research-in-euv-lithography.
[31] CHINA FERROALLOY NETWORK. Introduction and analysis of Japanese semiconductor industry[EB/OL]. [2021-12-22]. http://www.ferro-alloys.cn/News/Details/132499.
[32] DIGITIMES. TSMC joins EIDEC[EB/OL]. [2021-12-22]. http://www.eepw.com.Cn/article/120435.html.
[33] 中国科学院长春光学精密机械与物理研究所. 长春光机所承担的国家科技重大专项项目"极紫外光刻关键技术研究"顺利通过验收. [EB/OL]. (2017-06-21)[2017-07-04]. http://www.ciomp.ac.cn/xwdt/yw/201707/t20170704_4822124.html.CHANGCHUN INSTITUTE OF OPTICS FINE MECHANICS AND PHYSICS, CHINESE ACADEMY OF SCIENCES. The national science and technology project "key technology research of extreme ultraviolet lithography" undertaken by CIOMP successfully passed the acceptance[EB/OL]. (2017-06-21)[2017-07-04]. http://www.ciomp.ac.cn/xwdt/yw/201707/t20170704_4822124.html(in Chinese).
[34] 金春水. 极紫外投影光刻中若干关键技术研究[D]. 长春: 中国科学院研究生院(长春光学精密机械与物理研究所), 2003: 75-94.JIN Ch Sh. Investigation on extreme ultraviolet lithography[D]. Changchun: University of Chinese Academy of Sciences (Changchun Institute of Optics, Fine Mechanicsand Physics, Chinese Academy of Sciences), 2003: 75-95(in Chinese).
[35] 宗楠, 胡蔚敏, 王志敏, 等. 激光等离子体13.5 nm极紫外光刻光源进展[J]. 中国光学, 2020, 13(1): 28-42.ZONG N, HU W M, WANG Zh M, et al. Research progress on laser-produced plasma light source for 13.5 nm extreme ultraviolet lithography[J]. Chinese Optics, 2020, 13(1): 28-42(in Chinese).